t-ieee   [plain text]


# All alphas get an IEEE complaint set of libraries.
TARGET_LIBGCC2_CFLAGS += -mieee